ブロックを積みながら(139) Scilab/Xcosの信号源。シンプルで自立した奴ら

sources1_diagram
Joseph Halfmoon

前回はXcosの配線、クセが強いのうなどと文句を垂れながらも配線練習しました。今回は信号源を練習してみます。Xcosの信号源は多数あり、まずは「シンプルで自立した」信号源の皆さまデス。逆に言えば外の様子に忖度することなく、勝手に連続した信号を垂れ流しつづけるだけの皆さま。我が道を行きます。 “ブロックを積みながら(139) Scilab/Xcosの信号源。シンプルで自立した奴ら” の続きを読む

手習ひデジタル信号処理(114) Scilab、自前ASK変調関数でBPSK変調?

ask_bpsk
Joseph Halfmoon

元より信号処理も無線も素人なので常識がありませぬ。今回、あるご本を読んでいて、ASK変調で100%変調を超えた過変調200%とすると、なんとBPSK変調となることに気づきました。ASK変調自前関数とは別にBPSK変調関数を作った私の努力は水の泡?でもま、気づいたからには「手習ひ」してみるのであります。いつもの泥縄。 “手習ひデジタル信号処理(114) Scilab、自前ASK変調関数でBPSK変調?” の続きを読む

SPICEの小瓶(39) waveGen.py スクリプトの改定。バグFIX+PWM信号対応

PWMwave
Joseph Halfmoon

前回、ハーフブリッジ回路もどきを駆動するシミュレーションを行うためにPWM波形を使用したいと思いました。ハーフブリッジなのでノンオーバラップ期間のある2相ね。ところが電圧源でPULSE波形を指定するのに数値指定に難渋しました。忘却力の頭では暗算できんと。そこで1年数か月ぶりに波形生成スクリプトに手を入れることに。
“SPICEの小瓶(39) waveGen.py スクリプトの改定。バグFIX+PWM信号対応” の続きを読む

帰らざるMOS回路(44) Digilent CMOD S7導入、Vivadoのシミュレータ

simResult
Joseph Halfmoon

前回Digilent社の小型FPGAボードCMOD S7を導入。「本流FPGA」Xilinx(AMD)登載であります。開発環境はVivadoのML版です。前回はボードを取り出して「とりあえず」LEDを点滅させる回路をFPGAに書き込み動作を確認。今回はVivadoのVerilogシミュレータを使用してみます。 “帰らざるMOS回路(44) Digilent CMOD S7導入、Vivadoのシミュレータ” の続きを読む

ブロックを積みながら(138) Scilab/XCOSの配線?クセが強いのう。

connection_step
Joseph Halfmoon

前回から Scilab/XCOSを練習してます。XCOSはブロック線図などを描いてシミュレーションできる強力なツールです。しかし操作はちょいとクセ強です。とくにブロック間の配線、慣れないと思うような線にならないので、短気で怒りっぽくなっている老人はイライラします。上手くできない自分が悪いんだけれども。
“ブロックを積みながら(138) Scilab/XCOSの配線?クセが強いのう。” の続きを読む

ブロックを積みながら(137) Scilab/XCOSの復活、まずは積分でリハビリ

integFlow
Joseph Halfmoon

長らくNodeREDを練習してきましたが、NodeREDは別シリーズにお引越し。今回から本シリーズではScilab/XCOSを練習したいと思います。Scilab付属のツールXCOSはブロック線図などを描いてそれをシミュレーションできる「ビジュアルな」ツールです。「MATLABにおけるSimulinkみたいなもん」です。

“ブロックを積みながら(137) Scilab/XCOSの復活、まずは積分でリハビリ” の続きを読む

SPICEの小瓶(38) 小信号用の手元部品でハーフブリッジ・インバータ「もどき」

halfbridghInverterSchametic2
Joseph Halfmoon

元よりパワエレ素人、いまさらパワエレにまい進しようとは思っていないのでありますが、マイコンのペリフェラルの中にはハーフブリッジだのフルブリッジだのを制御するためのものがあります。その動作確認のために「ちんまりした」ブリッジ回路モドキをデッチ上げてお茶を濁したいと考えました。手元には小信号用の部品しか在庫がないんだ。。。

“SPICEの小瓶(38) 小信号用の手元部品でハーフブリッジ・インバータ「もどき」” の続きを読む

帰らざるMOS回路(40) 2相ノンオーバラップクロックをVerilogで設計もどき

counter12SIMwave
Joseph Halfmoon

別件記事で古の8080用の2相ノンオーバラップクロックもどきを制作。標準ロジックIC2個、合計7ゲートのロジックを組むのが老人には辛いっす。この際FPGAでやれば自分で配線せずとも出来るじゃん。ということでFPGAにしてみることにしましたが、そのためには回路をHDLで書かないとなりません。久しぶりにVerilog?

“帰らざるMOS回路(40) 2相ノンオーバラップクロックをVerilogで設計もどき” の続きを読む

SPICEの小瓶(37) 右クリックの小技、.op に .meas がお楽になる?

Freq_parm_plot
Joseph Halfmoon

今回はLTspiceの小技でSPICEに復帰であります。今まで .op を使って回路図に書き込むディレクティブ、手で書き込むもんだと思いこんでました。実は右クリックでお楽になると。そして .measで測定した結果のテキスト・ログ、これまた右クリックでグラフ化することも可能だと。やるじゃんLTspiceの右クリック。
“SPICEの小瓶(37) 右クリックの小技、.op に .meas がお楽になる?” の続きを読む

レトロな(4) シミュレータ上のCP/Mでマクロアセンブラを

PRN_SYM
Joseph Halfmoon

前回は「シンボリックでない」デバッガの代表選手、DDTを動かしてみました。インテル8080用です。さて「シンボリックな」デバッガに進みて~と思ったのですが、まずはシンボルファイルを生成できないと話になりません。ここでアセンブラも交代っす。ASMからMACね。MACといってもアレではありませんぞ。マクロアセンブラね。 “レトロな(4) シミュレータ上のCP/Mでマクロアセンブラを” の続きを読む

SPICEの小瓶(35) 理想ダイオードでビヘイビアなピーク検出

bmax_dideal_sim
Joseph Halfmoon

オペアンプとダイオードを使えばカッコいいピーク検出回路をつくれますが、ダイオードだけではどうしてもVF分の電圧降下が見えてしまうっと。このところ「ビヘイビアな」SPICEモデルを動かしてみているので、ダイオードのモデルに「介入」すれば、VF分電圧降下しないピーク検出回路ができるんでないかと。勿論ビヘイビアだけれども。
“SPICEの小瓶(35) 理想ダイオードでビヘイビアなピーク検出” の続きを読む

SPICEの小瓶(34) Behavioral Voltageモデル、rand、max試す。

maxnode
Joseph Halfmoon

前回は、実デバイスをシミュレーションするためのマクロモデルでしたが、今回は再びBehavioralなモデルに戻ります。何と言っても一端「踏み込んでしまった」Arbitrary Behavioral Voltage Sourceの中には、めくるめく?新たな世界が広がっており。ただし現物回路とは別物の世界だけれども。 “SPICEの小瓶(34) Behavioral Voltageモデル、rand、max試す。” の続きを読む

SPICEの小瓶(33) SPICE Macro ModelでOP177Gシミュレーション

OP177G_CIR
Joseph Halfmoon

別件記事にて、超高精度オペアンプ、OP177の実機に「火を入れた」のですが、LTspiceシミュレーションは行いませんでした。OP177はアナデバ製のチップなのでLTspiceにもモデルが入ってます。しかし「デフォでモデルが入っているのはAバージョン」「手元の現物はGバージョン」デス。製品ページからマクロモデルをダウンロードして対応してみました。 “SPICEの小瓶(33) SPICE Macro ModelでOP177Gシミュレーション” の続きを読む

定番回路のたしなみ(31) エミッタ接地回路のバイアス点をLTspiceで。なんも考えね~。

EmitterCommonCIR
Joseph Halfmoon

このところオペアンプ続きだったので、たまにはディスクリートのバイポーラ・トランジスタを使いたいと思うのです。しかしアナログ素人には敷居が高いです。例えばバイアス電圧の与え方。教科書みれば設計の仕方いろいろ載ってますがメンドクセーです。そんなときLTspiceに”.TF”というコマンドあることに気づきました。

“定番回路のたしなみ(31) エミッタ接地回路のバイアス点をLTspiceで。なんも考えね~。” の続きを読む