帰らざるMOS回路(42) 前回FPGA回路を微調整

Modified2NP
Joseph Halfmoon

前回「パルス幅の調整が必要だな。」などと書きながら、メンドくなってそのまま投げてました。先へ進めるまえに前回の後始末をしておくべし、と。今回は8080のクロック仕様に合致させるつもりで回路を微調整するだけであります。現場合わせ、成り行き感。。。良いのかそんなことで。

“帰らざるMOS回路(42) 前回FPGA回路を微調整” の続きを読む

部品屋根性(108) サイリスタ(SCR)、ST社 X02Series、お手軽テスト

Joseph Halfmoon

前回は引き出しの奥底で眠っていたOLEDに喝を入れました。今回の「喝」はSCR(サイリスタ)です。ちょこっと一瞬トリガ電流を流してやると目ざめ、トリガ電流が無くなっても電流を流しつづけてくれるアレです。耐圧も高いし電流も流せるし。今回は小電流用SCRをことさら低電圧でお手軽にテストしてみました。 “部品屋根性(108) サイリスタ(SCR)、ST社 X02Series、お手軽テスト” の続きを読む

帰らざるMOS回路(41) 2相ノンオーバラップクロック生成回路をFPGA実装

FPGA_WAVEFORM0
Joseph Halfmoon

前回Verilogで古の8080用2相ノンオーバラップクロック生成をシミュレーション。シミュレーションできたからにはFPGA上に実装せずにはいられませぬ。手元にあるFPGAボードは「お求めやすかった」けれども「既に販売されていない」某国製品です。新規購入と思いつつ、大したロジック作るわけじゃないと思うと手が出ませぬ。

“帰らざるMOS回路(41) 2相ノンオーバラップクロック生成回路をFPGA実装” の続きを読む

帰らざるMOS回路(40) 2相ノンオーバラップクロックをVerilogで設計もどき

counter12SIMwave
Joseph Halfmoon

別件記事で古の8080用の2相ノンオーバラップクロックもどきを制作。標準ロジックIC2個、合計7ゲートのロジックを組むのが老人には辛いっす。この際FPGAでやれば自分で配線せずとも出来るじゃん。ということでFPGAにしてみることにしましたが、そのためには回路をHDLで書かないとなりません。久しぶりにVerilog?

“帰らざるMOS回路(40) 2相ノンオーバラップクロックをVerilogで設計もどき” の続きを読む

レトロな(7) 8080用のクロック波形もどきを標準LOGICで作ってみる

2PNO_Clock
Joseph Halfmoon

前々回8080のクロックはメンドクセー2相ノンオーバラップクロックだと書きました。当時は8224という「8080専用クロックジェネレータ」が存在。それを使えばOK。しかし8224が出るまでは皆さん自分で作っていた筈。今回はそんな古の時代を偲びつつクロック波形もどきを作ってみたいと思います。現物の8080は無いのだけど。 “レトロな(7) 8080用のクロック波形もどきを標準LOGICで作ってみる” の続きを読む

定番回路のたしなみ(42) オペアンプでインダクタンスをシミュレート、GIC

GIC_inductor_AC_SIM_schematic
Joseph Halfmoon

今回は能動素子であるオペアンプを使ってインダクタンス(コイル)をシミュレートする回路を嗜んでみます。汎用インピーダンスコンバータ(GIC)、というお名前のとおり、インダクタンスだろうが、キャパシタンスだろうが自由自在。オペアンプの特性の許す限りにおいてホンモノのインダクタンスと見分けがつかず、ホントか?

“定番回路のたしなみ(42) オペアンプでインダクタンスをシミュレート、GIC” の続きを読む

帰らざるMOS回路(39) セントロニクスI/Fのタイミングチャートを描く

centronicsIF
Joseph Halfmoon

別件記事で狐の尻尾先生がPC98のプリンタI/Fについて書かれてました。その補足ということでWaveDromでタイミング・チャートを描いてみることに。やっぱI/Fといったらピン配だけでなくタイミングチャートも要るだろ~と。その過程で古い資料をみていたらPC98とIBM PC/ATの違いに気づきました。レトロな。
“帰らざるMOS回路(39) セントロニクスI/Fのタイミングチャートを描く” の続きを読む

定番回路のたしなみ(41) コンデンサ+ダイオード、コッククロフト・ウォルトン回路で昇圧

CWsimCircuitMAG1V
Joseph Halfmoon

今回の定番「コッククロフト・ウォルトン」回路(以下CW回路と略称します)はその気になって積み重ねれば、何万Vの高電圧でも生成できる回路っす。その癖お手軽、コンデンサとダイオードがあれば作れるっと。まあ電流を流し出そうとするのには向かないようだけれど。とりあえず手元の部品でちんまりと「昇圧できてる」ところを観察。
“定番回路のたしなみ(41) コンデンサ+ダイオード、コッククロフト・ウォルトン回路で昇圧” の続きを読む

定番回路のたしなみ(40) MOSFETでプッシュプル出力段

bss138_bss84_dut
Joseph Halfmoon

前回MOSFETのソースフォロワ回路であったので、今回はソースフォロワを2段積み重ねた形のプッシュプル出力段をデッチ上げたいと思います。以前に別件シリーズにてバイポーラトランジスタで実習したものをほぼそのままMOSFETに置き換える形っす。動くっちゃ動くけれども、抵抗値で調整するのはメンドクセーです。

“定番回路のたしなみ(40) MOSFETでプッシュプル出力段” の続きを読む

お手軽ツールで今更学ぶアナログ(170) WaveFormsからScilabへデータを輸出

plotOSC
Joseph Halfmoon

大分以前にAnalog Discovery2からのデータの「輸出」をやってみました。しかしCSVファイルに書き出しただけで終わってました。そこで今回は別シリーズ「手習ひデジタル信号処理」で活躍中のScilabでAD2のデータを読み込んで解析らしきことを試みてみます。読み込んでしまえばコッチのもんだと。ホントか?

“お手軽ツールで今更学ぶアナログ(170) WaveFormsからScilabへデータを輸出” の続きを読む

定番回路のたしなみ(39) MOSFET、ソースフォロワ回路でアナログ・レベルシフト

SRC_FOLLOWER_BBupd
Joseph Halfmoon

元よりアナログ素人のボケ老人、夏の間あまりアナログしていなかったので忘れたのか最初から知らなかったものか良く分かりませぬ。もう一度トランジスタレベルからやり直さないとならんかの。そういうことで今回は、MOSFETのソースフォロワを練習してみます。「どさくさ紛れ」にアナログ信号のレベルシフトをしている奴。なんだかな~。

“定番回路のたしなみ(39) MOSFET、ソースフォロワ回路でアナログ・レベルシフト” の続きを読む

SPICEの小瓶(37) 右クリックの小技、.op に .meas がお楽になる?

Freq_parm_plot
Joseph Halfmoon

今回はLTspiceの小技でSPICEに復帰であります。今まで .op を使って回路図に書き込むディレクティブ、手で書き込むもんだと思いこんでました。実は右クリックでお楽になると。そして .measで測定した結果のテキスト・ログ、これまた右クリックでグラフ化することも可能だと。やるじゃんLTspiceの右クリック。
“SPICEの小瓶(37) 右クリックの小技、.op に .meas がお楽になる?” の続きを読む

帰らざるMOS回路(38) タイミングチャートにsetup/holdを書き込む

setup_hold_EC
Joseph Halfmoon

前回、JSONベースのテキストをパースしてタイミングチャートを描いてくれるWaveDrom(ローカル版)を使用してSPIのタイミングチャートを描いてみました。結構お楽にかけました。余勢を駆って?今回はセットアップ、ホールド・タイムなど数字を書き込もうとしてツボにハマってしまいました。思ったように矢印書くのはテクがいる?
“帰らざるMOS回路(38) タイミングチャートにsetup/holdを書き込む” の続きを読む

帰らざるMOS回路(37) SPIのタイミングチャートをWaveDromで描く

SpiMode0_LsbFirst_EC
Joseph Halfmoon

前回、フリーのタイミングチャート描画ツールを3種類お試し。どれも特徴があり一長一短デス。その中でWaveDromというツールを使って実際に「ありがち」なタイミングチャートを描いてみることにいたしました。JSONベースのテキストファイルをパースして「よくある」感じのタイミングチャートにしてくれるツールです。

“帰らざるMOS回路(37) SPIのタイミングチャートをWaveDromで描く” の続きを読む