帰らざるMOS回路(57) Xilinx Vivado、TCLを制する者が制約を制す。

tclTrain001
Joseph Halfmoon

FPGA設計プロジェクトに必須のXDC拡張子の「制約」ファイルは、信号をFPGAのどの端子に出力するかから、DC電圧の規定、AC的な遅延時間などFPGAを物理的な回路として成り立たせるための諸般を記述するものです。そして『XDC は単なる文字列ではなく、~中略~ Tcl コマンドです。(UG945より引用)』だそうな。

“帰らざるMOS回路(57) Xilinx Vivado、TCLを制する者が制約を制す。” の続きを読む

帰らざるMOS回路(56) Xilinx Vivado、クロッキングとバイナリカウンタ

binaryCounter
Joseph Halfmoon

前回「調査」から、Vivado内蔵IPの実地トレーニングは空プロジェクトを作って、そこに対象のIPを配置し、シミュレーションして、FPGAに書き込んでというプロセスを地道にやっていくことにいたしました。まずは「簡単そうな奴」からということで選んだのがBinary Counterです。これならFPGA素人老人にもできる?

“帰らざるMOS回路(56) Xilinx Vivado、クロッキングとバイナリカウンタ” の続きを読む

帰らざるMOS回路(55) Xilinx Vivado、Example Project

OpenExampleProject
Joseph Halfmoon

FPGA素人老人がAMD(Xilinx)社Vivadoで気になったのが起動直後の画面、Quick Startの中の Open Example Project という項目です。これをクリクリやっていったら、忘却力の老人にも勉強になるようなプロジェクトが現れいでてくるのか? どうなんだろ~ということで闇雲に開いてみました。

“帰らざるMOS回路(55) Xilinx Vivado、Example Project” の続きを読む

帰らざるMOS回路(54) Xilinx Vivado、コマンドラインインタフェース

vivadoScriptBatchMode
Joseph Halfmoon

FPGA素人老人がAMD(Xilinx)社Vivadoで気になったのがGUIの下方のtclウインドウ。昔 tcl/tk を直接使いましたが、最近ではアチコチの縁の下でご活躍は知っていても直接tclを呼び出すことは有りません。しかしtclに触れずしてVivado環境の理解にいたらず、ということで触り始めました。

“帰らざるMOS回路(54) Xilinx Vivado、コマンドラインインタフェース” の続きを読む

帰らざるMOS回路(53) CMOD S7用、8ビット・シリアル・パラレル変換器

SIMresults
Joseph Halfmoon

別シリーズでGoogleの生成AI、Gemini様にVerilogコードなど書いていただいております。Verilog素人の年寄が捻りだすよりお楽に感じます。そこで今回からしばらく、まずGemini様にお願いして、それを年寄がCMOD-S7ボード用に実装する、という段取りで練習したいと思います。今回はシリパラ変換ね。

“帰らざるMOS回路(53) CMOD S7用、8ビット・シリアル・パラレル変換器” の続きを読む

AIの片隅で(26) Googleの生成AI、Geminiにバイナリカウンタを作ってもらう

counter4_sim_results
Joseph Halfmoon

生成AIしてますか?バリバリ使ってらっしゃるじゃないかと思います。頭の固い年寄はサッパリです。でもまあ生成AIのプロンプトにはたまにお世話になっております。便利。噂ではPythonなどのコードなど一撃で書いてくれるみたい。メジャーな言語はね。マイナーなのはどうだろう?例えばVerilogということで今回やってみました。

“AIの片隅で(26) Googleの生成AI、Geminiにバイナリカウンタを作ってもらう” の続きを読む

帰らざるMOS回路(52) CMOD S7用にBCDデコーダ、実機動作編

waveform001
Joseph Halfmoon

AlteraはIntelから分離して、再び独立会社になったみたいだけれどもXilinxはどうなんだろ~。知らんけど。さて、前回はBCDデコーダをシミュレーションしたところで「気力が尽きて」しまいました。今回は、VerilogソースをFPGAに実装して動作確認をしたいと思います。シミュレーションと同じ結果になるよね。。。

“帰らざるMOS回路(52) CMOD S7用にBCDデコーダ、実機動作編” の続きを読む

帰らざるMOS回路(51) CMOD S7用にBCDデコーダ、シミュレーション編

SIMresults
Joseph Halfmoon

FPGAも素人ならVerilogも素人の老人です。適当な題材で回路を作製してシミュレーションしてCMOD S7上で動かしてみることをせねば折角のCMOD S7を使えるようになる気がしません。そんなとき別件シリーズでBCDデコーダ74HC42をいじりました。素人老人にはこのくらいのロジックから始めるのがいいんでないかい?

“帰らざるMOS回路(51) CMOD S7用にBCDデコーダ、シミュレーション編” の続きを読む

帰らざるMOS回路(50) CMOD S7にSN74LVCH16T245を接続

HVLVonBB
Joseph Halfmoon

Digilentの小型FPGAボードCMOD S7のチュートリアルも一通りやってみたので、FPGAの外に接続するデバイスを用意しようと思い立ちました。このボードは3.3VのIO電源で、LVCMOSでインタフェースをとるようになっています。一方DIP形状のピン側には5V電源端子のみ。3.3VはPMODコネクタのみ接続。

“帰らざるMOS回路(50) CMOD S7にSN74LVCH16T245を接続” の続きを読む

帰らざるMOS回路(49) Digilent CMOD S7、ADCのサンプルプロジェクト

AnalogInputResults
Joseph Halfmoon

前回、MicroBlaze32ビット・ソフトコア利用のサンプルプロジェクトでの吉例Lチカ動作が成功。超小型のFPGAボードCMOD S7、なかなかいろいろ出来るんでないの、と気を良くしてます。今回はこれまた気になっていたオンボードのADコンバータを使用してみます。12ビット、1Mサンプル毎秒を2チャンネル搭載。
“帰らざるMOS回路(49) Digilent CMOD S7、ADCのサンプルプロジェクト” の続きを読む

帰らざるMOS回路(48) Digilent CMOD S7、HW+SWプロジェクトその3

CreateApplicationProjRuns
Joseph Halfmoon

前回、MicroBlazeコア上で実際にソフトウエアを動作させんとしたところが、ビットストリーム生成でまさかのエラー勃発、その対処に1回を費やしました。今度こそということでソフトウエアまで書き込み、動作OK。やったね。でもソフトウエアをビルドする前にもやっぱり引っかかってましたデス。「待てばできる」問題だったけれど。

“帰らざるMOS回路(48) Digilent CMOD S7、HW+SWプロジェクトその3” の続きを読む

帰らざるMOS回路(47) Digilent CMOD S7、HW+SWプロジェクトその2

BitstreamGenerationFailed
Joseph Halfmoon

前回、MicroBlazeコアを搭載したHW設計の「バリデーション」までやってノーエラーでした。その余勢をかって今回はSWなどと目論んでいましたがいけません。SW側に「輸出」する最後の段になってエラー発覚。チュートリアル通りにやっているつもりなのに何がいけないの?FPGA素人の忘却力の年寄は大慌てなんであります。
“帰らざるMOS回路(47) Digilent CMOD S7、HW+SWプロジェクトその2” の続きを読む

帰らざるMOS回路(46) Digilent CMOD S7、HW+SWプロジェクトその1

blockdiagramFULL
Joseph Halfmoon

超小型FPGA開発ボードDigilent CMOD S7導入。本流FPGA開発ツールAMD Vivadoを使って何度か練習するうちに「設計できるかも」的根拠のない自信?が忘却力の年寄にも芽生えております。今回はついにCPU‐IPなどを搭載、ハード、ソフトの複合プロジェクトに挑戦?チュートリアル通りだけれども。

“帰らざるMOS回路(46) Digilent CMOD S7、HW+SWプロジェクトその1” の続きを読む

帰らざるMOS回路(45) Digilent CMOD S7、サンプルPROJを開いてみる

USBserial_R
Joseph Halfmoon

本流FPGA AMD(Xilinx)搭載のDigilent CMOD S7導入。前回は開発ツール付属のVerilogシミュレータを使用。今回はCMOD S7ボードそのものについて調査。それにはアウト・オブ・ボックスと銘打たれたサンプルプロジェクトを開いてみるのが一番良いのでは?ということで既存プロジェクトをOpen。 “帰らざるMOS回路(45) Digilent CMOD S7、サンプルPROJを開いてみる” の続きを読む