帰らざるMOS回路(63) Xilinx Vivado、Accumulator

ACC_diagram
Joseph Halfmoon

前回はVivadoに「バンドル」されているIPからRAM-Based Shift Registerを練習。LUTを使ってFIFOみたいな構造を作れるIPでした。今回はAccmulatorです。入ってくる値をどんどん積算して結果を出力してくれるもの。なんか計算用のブロックを使って作ってくれるのか?どうなんだ? “帰らざるMOS回路(63) Xilinx Vivado、Accumulator” の続きを読む