帰らざるMOS回路(41) 2相ノンオーバラップクロック生成回路をFPGA実装

FPGA_WAVEFORM0
Joseph Halfmoon

前回Verilogで古の8080用2相ノンオーバラップクロック生成をシミュレーション。シミュレーションできたからにはFPGA上に実装せずにはいられませぬ。手元にあるFPGAボードは「お求めやすかった」けれども「既に販売されていない」某国製品です。新規購入と思いつつ、大したロジック作るわけじゃないと思うと手が出ませぬ。

“帰らざるMOS回路(41) 2相ノンオーバラップクロック生成回路をFPGA実装” の続きを読む

ぐだぐだ低レベルプログラミング(140)ARM64(AArach64)SIMD cmeq

cmge_cmgt_cmhi_cmhs
Joseph Halfmoon

今回練習するのはSIMDの比較命令です。スカラー同士の比較であれば分岐のためですが、SIMDの場合は各要素の計算を「通すか否か」のマスク的なものの生成。今回対象は浮動小数比較でなく整数のみですが、いつものとおりA64の命令多すぎ。便利そうな命令は網羅するのがArmの行き方か。ミニマリストではないわいな。多分。

“ぐだぐだ低レベルプログラミング(140)ARM64(AArach64)SIMD cmeq” の続きを読む