帰らざるMOS回路(29) Icarus Verilog、検証用テキストファイル出力

Fout
Joseph Halfmoon

前回はモジュールをパラメータ化して再利用しやすくしてみました。今回は、シミュレーション結果をテキストファイルに落として機械的な照合や回帰テストをしやすくしたいと思います。作成した回路を最初観察するときは波形ビューワー使っても、シミュレーションは何度も行うものなので結果確認は自動化しておかないと後が大変。 “帰らざるMOS回路(29) Icarus Verilog、検証用テキストファイル出力” の続きを読む

ぐだぐだ低レベルプログラミング(78)ARM64(AArch64)、シフト、ローテイトの片割

ShiftEC
Joseph Halfmoon

前回まで練習してきた即値でビット数を指定するシフト、ローテイト命令はビットフィールド転送とレジスタ抽出命令のエイリアスでした。しかしシフト、ローテイトには「片割れ」があります。レジスタでビット数を指定できる命令です。そちらも「エイリアス」あり、といいつつ、こちらはモロそのものじゃん。

“ぐだぐだ低レベルプログラミング(78)ARM64(AArch64)、シフト、ローテイトの片割” の続きを読む