帰らざるMOS回路(34) 2R1Wのレジスタファイル(仮)でっち上げ、Verilog

regfileResultWave
Joseph Halfmoon

前回、仮にも32ビットのALUを「でっち上げ」たので、次はALUの対面となるレジスタファイルです。「でっち上げる」にせよ32ビット。最低でも2R1W型のマルチポートで32本にするしかないんでないの。泥縄式に作っているので、今回は雰囲気だけ、同時に2個の32ビット値を呼び出せて、1個の32ビット値を書き込めるっと。 “帰らざるMOS回路(34) 2R1Wのレジスタファイル(仮)でっち上げ、Verilog” の続きを読む

モダンOSのお砂場(50) Mbed OS2->OS6お引越し、I2CでAQM1602編

NucleoF446RE_AQM1602
Joseph Halfmoon

開発環境を Mbed Online Compilerから Keil Studio Cloud に移行中です。ついでにMbed OS2の古いソースもOS6へ移行予定。前回はUARTで移行を試行、コマケー違いで引っかかりました。今回はI2CでOS2->OS6をやってみたいと思います。ターゲットはAQM1602液晶。

“モダンOSのお砂場(50) Mbed OS2->OS6お引越し、I2CでAQM1602編” の続きを読む