帰らざるMOS回路(43) Digilent CMOD S7導入。AMD(Xilinx)だ。

CMOD_S7_package
Joseph Halfmoon

トライしていた「中華」FPGAから、本流の米国FPGAに転進。中華FPGAが手元のPC上で開発できないのが辛かった。毎度古いマシンを叩き起こして作業する心理的障壁に負けました。もっとも米国製といっても「お求めやすい」FPGAボードを物色。結局、いつもお世話になっているDigilent社製品を導入。CMOD S7とな。
“帰らざるMOS回路(43) Digilent CMOD S7導入。AMD(Xilinx)だ。” の続きを読む

帰らざるMOS回路(42) 前回FPGA回路を微調整

Modified2NP
Joseph Halfmoon

前回「パルス幅の調整が必要だな。」などと書きながら、メンドくなってそのまま投げてました。先へ進めるまえに前回の後始末をしておくべし、と。今回は8080のクロック仕様に合致させるつもりで回路を微調整するだけであります。現場合わせ、成り行き感。。。良いのかそんなことで。

“帰らざるMOS回路(42) 前回FPGA回路を微調整” の続きを読む

帰らざるMOS回路(41) 2相ノンオーバラップクロック生成回路をFPGA実装

FPGA_WAVEFORM0
Joseph Halfmoon

前回Verilogで古の8080用2相ノンオーバラップクロック生成をシミュレーション。シミュレーションできたからにはFPGA上に実装せずにはいられませぬ。手元にあるFPGAボードは「お求めやすかった」けれども「既に販売されていない」某国製品です。新規購入と思いつつ、大したロジック作るわけじゃないと思うと手が出ませぬ。

“帰らざるMOS回路(41) 2相ノンオーバラップクロック生成回路をFPGA実装” の続きを読む

帰らざるMOS回路(40) 2相ノンオーバラップクロックをVerilogで設計もどき

counter12SIMwave
Joseph Halfmoon

別件記事で古の8080用の2相ノンオーバラップクロックもどきを制作。標準ロジックIC2個、合計7ゲートのロジックを組むのが老人には辛いっす。この際FPGAでやれば自分で配線せずとも出来るじゃん。ということでFPGAにしてみることにしましたが、そのためには回路をHDLで書かないとなりません。久しぶりにVerilog?

“帰らざるMOS回路(40) 2相ノンオーバラップクロックをVerilogで設計もどき” の続きを読む

帰らざるMOS回路(39) セントロニクスI/Fのタイミングチャートを描く

centronicsIF
Joseph Halfmoon

別件記事で狐の尻尾先生がPC98のプリンタI/Fについて書かれてました。その補足ということでWaveDromでタイミング・チャートを描いてみることに。やっぱI/Fといったらピン配だけでなくタイミングチャートも要るだろ~と。その過程で古い資料をみていたらPC98とIBM PC/ATの違いに気づきました。レトロな。
“帰らざるMOS回路(39) セントロニクスI/Fのタイミングチャートを描く” の続きを読む

帰らざるMOS回路(38) タイミングチャートにsetup/holdを書き込む

setup_hold_EC
Joseph Halfmoon

前回、JSONベースのテキストをパースしてタイミングチャートを描いてくれるWaveDrom(ローカル版)を使用してSPIのタイミングチャートを描いてみました。結構お楽にかけました。余勢を駆って?今回はセットアップ、ホールド・タイムなど数字を書き込もうとしてツボにハマってしまいました。思ったように矢印書くのはテクがいる?
“帰らざるMOS回路(38) タイミングチャートにsetup/holdを書き込む” の続きを読む

帰らざるMOS回路(37) SPIのタイミングチャートをWaveDromで描く

SpiMode0_LsbFirst_EC
Joseph Halfmoon

前回、フリーのタイミングチャート描画ツールを3種類お試し。どれも特徴があり一長一短デス。その中でWaveDromというツールを使って実際に「ありがち」なタイミングチャートを描いてみることにいたしました。JSONベースのテキストファイルをパースして「よくある」感じのタイミングチャートにしてくれるツールです。

“帰らざるMOS回路(37) SPIのタイミングチャートをWaveDromで描く” の続きを読む

帰らざるMOS回路(36) タイミングチャートを描きて~ ツールは何?

waveDromSample
Joseph Halfmoon

少し涼しくなったのでロジックを考える気力が戻って来た気がします。錯覚でないの、自分?そして回路考えるためには「タイミングチャート描きてぇ~」と考えました。近年、適当な「ドロー系」の画像ソフトでタイミング・チャートもどきを描くことが多かったです。なにかもっと適当なものはないの?今回はツールを調べるところから。
“帰らざるMOS回路(36) タイミングチャートを描きて~ ツールは何?” の続きを読む

帰らざるMOS回路(35) TC4520BP、4ビット・バイナリ・カウンタでリハビリ?

TC4520_TESTHEAD
Joseph Halfmoon

半年もロジックお休みしてしまいました。マイコンの御供とか、アナログの御供でロジックしても真剣さが足りませんな?お休みした後のリハビリとて(忘却力の年寄にはリハビリがいるのです)「出来合いの」ロジックICを何個か動かしてその動作を観察していきたいと思います。今回は4ビット・バイナリ・カウンタ TC4520BPです。
“帰らざるMOS回路(35) TC4520BP、4ビット・バイナリ・カウンタでリハビリ?” の続きを読む

帰らざるMOS回路(34) 2R1Wのレジスタファイル(仮)でっち上げ、Verilog

regfileResultWave
Joseph Halfmoon

前回、仮にも32ビットのALUを「でっち上げ」たので、次はALUの対面となるレジスタファイルです。「でっち上げる」にせよ32ビット。最低でも2R1W型のマルチポートで32本にするしかないんでないの。泥縄式に作っているので、今回は雰囲気だけ、同時に2個の32ビット値を呼び出せて、1個の32ビット値を書き込めるっと。 “帰らざるMOS回路(34) 2R1Wのレジスタファイル(仮)でっち上げ、Verilog” の続きを読む

帰らざるMOS回路(33) 1bit ALUを32個並べて32bit化。Verilog

ALU32_CELLS
Joseph Halfmoon

前回、1ビット分のALUをVerilog化してみたので、今回はそれを32個ならべて32ビット化してみます。まあね、芸のないリップルキャリーなので接続は超簡単、並べるだけ(遅いけれども。)論理演算でも算術演算(加算と減算だけれども)でもどんとこいっと。でもまだレジスタとか必要なものは何も繋がってないっす。

“帰らざるMOS回路(33) 1bit ALUを32個並べて32bit化。Verilog” の続きを読む

帰らざるMOS回路(32) MOSFET、MUXとリップルキャリーでALUをVerilog化

ALU_assign
Joseph Halfmoon

前回はゲートレベルシミュレータ上で1bit分のALU(Arithmetic Logic Unit)を試作。全ての論理演算と加算(減算は2の補数)を行えるような「セル」です。算術演算時にはリップルキャリーなので実際に作ったら「遅い」ですが、とりあえず速度は考えないっと。今回は前回の回路をVerilogで書き直してみます。

“帰らざるMOS回路(32) MOSFET、MUXとリップルキャリーでALUをVerilog化” の続きを読む

帰らざるMOS回路(31) MOSFET、古式ゆかしい?MUXとリップルキャリーでALU

MOS_ALU_ADD_11_C1
Joseph Halfmoon

前回はVerilogで無理やりMOSトランジスタ・アレイCD4007をモデリングしてしまいました。MOSFETレベルでも「やれそうな」雰囲気が出てきたので、今回は1bit分のALU(Arithmetic Logic Unit)を試作。分かり易さ優先、正論理のみの「ゲートレベル原理回路」の動作確認をしてみたいと思います。

“帰らざるMOS回路(31) MOSFET、古式ゆかしい?MUXとリップルキャリーでALU” の続きを読む

帰らざるMOS回路(30) Icarus VerilogでCD4007をモデリング

CD4007_verilog
Joseph Halfmoon

注文してあったCD4007が到着。急遽こちらのシリーズをお休みしてCD4007の動作試験をやってました。CD4007は古いICですがMOSFETのソース、ドレイン、ゲートを個別に接続可能で変幻自在、まさにMOS回路を体現しています。そこでVerilogでもCD4007のモデリングを試みました。普通はやらんと思うケド。

“帰らざるMOS回路(30) Icarus VerilogでCD4007をモデリング” の続きを読む