帰らざるMOS回路(30) Icarus VerilogでCD4007をモデリング

CD4007_verilog
Joseph Halfmoon

注文してあったCD4007が到着。急遽こちらのシリーズをお休みしてCD4007の動作試験をやってました。CD4007は古いICですがMOSFETのソース、ドレイン、ゲートを個別に接続可能で変幻自在、まさにMOS回路を体現しています。そこでVerilogでもCD4007のモデリングを試みました。普通はやらんと思うケド。

“帰らざるMOS回路(30) Icarus VerilogでCD4007をモデリング” の続きを読む

うさちゃんと一緒(20) Z80の魔改造?Rabbit4000のオペコードマップその2

DD_EC
Joseph Halfmoon

前回は、Rabbit4000の、Z80「魔改造」第1バイトのオペコードマップを眺めてみました。しかしZ80にはDD、FDなどの第1バイトによって「拡張」されるオペコードマップも存在します。Rabbit4000の場合、DD,FD系も期待に違わぬ大拡張です。ただしここではZ80の元の命令には手は加えてません。

“うさちゃんと一緒(20) Z80の魔改造?Rabbit4000のオペコードマップその2” の続きを読む