帰らざるMOS回路(33) 1bit ALUを32個並べて32bit化。Verilog

ALU32_CELLS
Joseph Halfmoon

前回、1ビット分のALUをVerilog化してみたので、今回はそれを32個ならべて32ビット化してみます。まあね、芸のないリップルキャリーなので接続は超簡単、並べるだけ(遅いけれども。)論理演算でも算術演算(加算と減算だけれども)でもどんとこいっと。でもまだレジスタとか必要なものは何も繋がってないっす。

“帰らざるMOS回路(33) 1bit ALUを32個並べて32bit化。Verilog” の続きを読む

ぐだぐだ低レベルプログラミング(82)ARM64(AArch64)、条件付き比較命令

setFlagInstTable
Joseph Halfmoon

前回から、条件フラグNZCV関係に踏み入っております。やる前から分かっていたことですが、真か偽か判定するだけの1ビットのフラグですが、複数条件重なれば、霧のかかった老人の頭では、いずれが真か偽、是々非々、是々非々。特に今回の命令群は条件フラグの操作をするのに条件を判断して行うという奇怪さであります。 “ぐだぐだ低レベルプログラミング(82)ARM64(AArch64)、条件付き比較命令” の続きを読む